library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.ALL; entity Counter is port ( clk : in std_logic; reset : in std_logic; direction : in std_logic; load : in std_logic; load_data : in std_logic_vector(3 downto 0); led_result : out std_logic_vector(3 downto 0) -- LED Result input ); end entity Counter; architecture Behavioral of Counter is -- Insert your code here end Behavioral;