set_property -dict { PACKAGE_PIN F22 IOSTANDARD LVCMOS33 } [get_ports { a[0] }]; set_property -dict { PACKAGE_PIN G22 IOSTANDARD LVCMOS33 } [get_ports { a[1] }]; set_property -dict { PACKAGE_PIN H22 IOSTANDARD LVCMOS33 } [get_ports { a[2] }]; set_property -dict { PACKAGE_PIN F21 IOSTANDARD LVCMOS33 } [get_ports { b[0] }]; set_property -dict { PACKAGE_PIN H19 IOSTANDARD LVCMOS33 } [get_ports { b[1] }]; set_property -dict { PACKAGE_PIN H18 IOSTANDARD LVCMOS33 } [get_ports { b[2] }]; set_property -dict { PACKAGE_PIN T22 IOSTANDARD LVCMOS33 } [get_ports { Result[0] }]; set_property -dict { PACKAGE_PIN T21 IOSTANDARD LVCMOS33 } [get_ports { Result[1] }]; set_property -dict { PACKAGE_PIN U22 IOSTANDARD LVCMOS33 } [get_ports { Result[2] }]; set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { Carry }];